您的位置 首页 > 娱乐休闲

精品博文Modelsim建立UVM环境

在modelsim中建立UVM环境,使用的UVM是UVM1.1d。

如果安装的是modelsim 10.4版本的话,软件自动的将UVM的库给编译好了,生成了.dll文件,供UVM验证使用。

在modelsim的安装目录下的 UVM-1.1d/win64下,就有一个uvm_d。

以这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件。

Hello_world.sv: 验证的代码

Run.bat: windows运行的脚本

Sim.do: modelsim运行的脚本文件

对于,该程序,就是输出一个hello uvm。

对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行脚本。

对于文件

代码

set UVM_DPI_HOME C:/software

vlib work

vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example

run 100

设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置

建立work library

编译代码,通过-L 指定编译需要的几个library

执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_d,然后针对于哪一个module进行仿真

运行100ns

执行,也很简单了,直接对run.bat双击。

就会调用modelsim,然后开始执行脚本。

最后,就会显示Hello UVM。

至此,验证环境搭建成功。后面,就是增加代码文件,然后在文件中,对增加的代码文件进行编译,然后针对于顶层module进行仿真即可。

责任编辑: 鲁达

1.内容基于多重复合算法人工智能语言模型创作,旨在以深度学习研究为目的传播信息知识,内容观点与本网站无关,反馈举报请
2.仅供读者参考,本网站未对该内容进行证实,对其原创性、真实性、完整性、及时性不作任何保证;
3.本站属于非营利性站点无毒无广告,请读者放心使用!

“精品博文Modelsim建立UVM环境”边界阅读